当前位置 首页 人才队伍
  • 姓名: 罗军
  • 性别: 男
  • 职称: 研究员
  • 职务: 主任
  • 学历: 博士
  • 电话: 010-82995515
  • 传真: 010-82995684
  • 电子邮件: luojun@ime.ac.cn
  • 所属部门: 先导中心集成电路创新技术部
  • 通讯地址: 北京市朝阳区北土城西路3号

    简  历:

  • 教育背景 

    1998.9-2002.7,中国地质大学(武汉)材化学院,电化学工程,工学学士 

    2002.9-2005.7,厦门大学化学化工学院,材料科学与工程,工学硕士 

    2005.9-2006.9,复旦大学微电子学系,微电子学与固体电子学,博士在读 

    2006.9-2010.6,瑞典皇家工学院(KTH) 微电子与固体电子器件,工学博士 

    工作简历 

    2010.8-2012.9,中国科学院微电子研究所,助理研究员 

    2012.9-2017.4,中国科学院微电子研究所,副研究员 

    2017.4-,中国科学院微电子研究所,研究员 

    2015.10-,中国科学院大学,岗位教授

    社会任职:

  • 中国科学院青年创新促进会会员

    Springer期刊Journal of Materials Science: Materials in Electronics副总编辑

    EDL, APL, JAP, Thin Solid Films, Vacuum审稿人

    2015年欧洲材料研究会(E-MRS)春季会议技术委员会(TPC)委员

    研究方向:

  • 集成电路工艺与器件,金属硅化物及接触技术,MRAM集成技术

    承担科研项目情况:

  • 1.      国家自然科学基金面上项目  “癌症患者血循环肿瘤细胞装置的应用研究”,2014.1-2017.12,82万元,主持人,在研

    2.      国家自然科学基金今年项目,“采用PRCVD外延法在硅衬底上直接制备石墨烯的研究”,2014.1-2016.12,30万元,主持人,在研

    3.      中国科学院微电子所所长基金,“Si衬底外延生长GaN及GaN-on-Si HEMTs相关研究”,2012.1-2013.1,20万元,主持人,已结题

    4.      国家02科技重大专项,“极大规模集成电路装备及成套工艺”子课题“22 nm平面器件”,2010.8-2014.8,参与人

    5.      国家02科技重大专项,“极大规模集成电路装备及成套工艺”子课题“16/14nm FinFET器件”,2013.8-,参与人

    代表论著:

  • Books

    1. H.H. Radamson, J. Luo, E. Simeon, C. Zhao. CMOS: Past, Present and Future, 01/2018: pages 19-40; , ISBN: 9780081021392

    Book Chapters

    1. Henry H. Radamson, Jun Luo, Changliang Qin, Huaxiang Yin, Huilong Zhu, Chao Zhao, Guilei Wang: Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. Scaling and Integration of High Speed Electronics and Optomechanical Systems, 06/2017: pages 99-107; , ISBN: 978-981-322-539-8, DOI:10.1142/9789813225404_0003

    Journal Publications

    1. Shujuan Mao, Guilei Wang, Jing Xu, Dan Zhang, Xue Luo, Wenwu Wang, Dapeng Chen, Junfeng Li, Anyan Du, Chao Zhao, Tianchun Ye, Jun Luo: Improved Ti germanosilicidation by Ge pre-amorphization implantation (PAI) for advanced contact technologies. Microelectronic Engineering 10/2018; 201., DOI:10.1016/j.mee.2018.09.006

    2. Shujuan Mao, Guilei Wang, Jing Xu, Xue Luo, Dan Zhang, Ningyuan Duan, Shi Liu, Wenwu Wang, Dapeng Chen, Junfeng Li, Chao Zhao, Tianchun Ye, Jun Luo: Impact of Ge Preamorphization Implantation on Both the Formation of Ultrathin TiSi? and the Specific Contact Resistivity in TiSi?/n-Si Contacts. IEEE Transactions on Electron Devices 08/2018; 65(10):1-9., DOI:10.1109/TED.2018.2864558

    3. Sumei Wang, Meiyin Yang, Jun Luo, Chao Zhao, Wenwu Wang, Tianchun Ye: Switching of Exchange-Coupled Perpendicularly Magnetized Layers Under Spin-Orbit Torque. IEEE Transactions on Magnetics 07/2018; PP(99):1-4., DOI:10.1109/TMAG.2018.2843439

    4. Ningyuan Duan, Guilei Wang, Jing Xu, Shujuan Mao, Xue Luo, Dan Zhang, Wenwu Wang, Dapeng Chen, Junfeng Li, Shi Liu, Chao Zhao, Tianchun Ye, Jun Luo: Enhancing the thermal stability of NiGe by prior-germanidation fluorine implantation into Ge substrate. Japanese Journal of Applied Physics 07/2018; 57(7S2):07MA03., DOI:10.7567/JJAP.57.07MA03

    5. Xue Luo, Guilei Wang, Jing Xu, Ningyuan Duan, Shujuan Mao, Shi Liu, Junfeng Li, Wenwu Wang, Dapeng Chen, Chao Zhao, Tianchun Ye, Jun Luo: Impact of Ge pre-amorphization implantation on forming ultrathin TiGe x on both n- and p-Ge substrate. Japanese Journal of Applied Physics 07/2018; 57(7S2):07MA02., DOI:10.7567/JJAP.57.07MA02

    6. Feng Sun, Chen Li, Chaochao Fu, Xiangbiao Zhou, Jun Luo, Wei Zou, Zhi-Jun Qiu, Dongping Wu: Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing. Materials 03/2018; 11(4)., DOI:10.3390/ma11040471

    7. Yangyu Tian, Kun Chen, Ying Jin, Jun Luo: A Study of High-Low Frequency Charge Pumping Method on Evaluating Interface Traps in Bulk FinFETs. 01/2018; 7(9):Q164-Q168., DOI:10.1149/2.0121809jss

    8. Min Tian, Jing Xu, Huicai Zhong, Li Li, Jun Luo, Zhigang Wang: Role of Ti Electrode on the Electrical Characterization of Filament within Al 2 O 3 Based Antifuse. 01/2018; 7(4):N37-N41., DOI:10.1149/2.0051804jss

    9. Xiangyu Yan, Kunpeng Jia, Yajuan Su, Yuanjun Ma, Jun Luo, Huilong Zhu, Yayi Wei: Edge-Contact Formed by Oxygen Plasma and Rapid Thermal Annealing to Improve Metal-Graphene Contact Resistance. 01/2018; 7(2):M11-M15., DOI:10.1149/2.0251802jss

    10. Guilei Wang, Jun Luo, Jinbiao Liu, Tao Yang, Yefeng Xu, Junfeng Li, Huaxiang Yin, Jiang Yan, Huilong Zhu, Chao Zhao, Tianchun Ye, Henry H. Radamson: pMOSFETs Featuring ALD W Filling Metal Using SiH4 and B2H6 Precursors in 22 nm Node CMOS Technology. Nanoscale Research Letters 12/2017; 12(1)., DOI:10.1186/s11671-017-2080-2

    11. Guilei Wang, Jun Luo, Changliang Qin, Renrong Liang, Yefeng Xu, Jinbiao Liu, Junfeng Li, Huaxiang Yin, Jiang Yan, Huilong Zhu, Jun Xu, Chao Zhao, Henry H. Radamson, Tianchun Ye: Integration of Highly Strained SiGe in Source and Drain with HK and MG for 22 nm Bulk PMOS Transistors. Nanoscale Research Letters 12/2017; 12(1)., DOI:10.1186/s11671-017-1908-0

    12. Xiaolei Wang, Jinjuan Xiang, Kai Han, Shengkai Wang, Jun Luo, Chao Zhao, Tianchun Ye, Henry H. Radamson, Eddy Simoen, Wenwu Wang: Physically Based Evaluation of Effect of Buried Oxide on Surface Roughness Scattering Limited Hole Mobility in Ultrathin GeOI MOSFETs. IEEE Transactions on Electron Devices 04/2017; PP(99):1-6., DOI:10.1109/TED.2017.2688489

    13. Chaochao Fu, Yan Wang, Peng Xu, Lei Yue, Feng Sun, David Wei Zhang, Shi-Li Zhang, Jun Luo, Chao Zhao, Dongping Wu: Understanding the microwave annealing of silicon. AIP Advances 03/2017; 7(3):035214., DOI:10.1063/1.4978912

    14. Henry H. Radamson, Jun Luo, Changliang Qin, Huaxiang Yin, Huilong Zhu, Chao Zhao, Guilei Wang: Optimization of Selective Growth of SiGe for Source/Drain in 14nm and Beyond Nodes FinFETs. International Journal of High Speed Electronics and Systems 03/2017; 26(01n02):1740003., DOI:10.1142/S0129156417400031

    15. Miao Xu, Huilong Zhu, Yanbo Zhang, Qiuxia Xu, Yongkui Zhang, Changliang Qin, Qingzhu Zhang, Huaxiang Yin, Hao Xu, Shuai Chen, Jun Luo, Chunlong Li, Chao Zhao, Tianchun Ye: Two methods of tuning threshold voltage of bulk FinFETs with replacement high-k metal-gate stacks. Solid-State Electronics 03/2017; 129:52-60., DOI:10.1016/j.sse.2016.12.016

    16. Ningyuan Duan, Guilei Wang, Jun Luo, Shujuan Mao, Xue Luo, Jing Xu, Wenwu Wang, Shi Liu, Dapeng Chen, Junfeng Li, Chao Zhao, Tianchun Ye: A Modified Scheme to Reduce the Specific Contact Resistivity of NiSi/Si Contacts by Means of Dopant Segregation Technique. 01/2017; 6(12):P904-P908., DOI:10.1149/2.0431712jss

    17. Shu-Juan Mao, Jing Xu, Gui-Lei Wang, Jun Luo, Ning-Yuan Duan, Eddy Simoen, Henry Radamson, Wen-Wu Wang, Da-Peng Chen, Jun-Feng Li, Chao Zhao, Tian-Chun Ye: On the Manifestation of Ge Pre-Amorphization Implantation (PAI) in Forming Ultrathin TiSi x for Ti Direct Contact on Si in Sub-16/14 nm Complementary Metal-Oxide-Semiconductor (CMOS) Technology Nodes. 01/2017; 6(9):P660-P664., DOI:10.1149/2.0321709jss

    18. J. B. Liu, Jun Luo, Eddy Simoen, Guilei Wang, Jinjuan Xiang, Junfeng Li, Chao Zhao, Tianchun Ye: Hot Implantations of P into Ge: Impact on the Diffusion Profile. 01/2017; 6(1):P73-P77., DOI:10.1149/2.0311701jss

    19. Ningyuan Duan, Jun Luo, Guilei Wang, Jinbiao Liu, Eddy Simoen, Shujuan Mao, Henry Radamson, Xiaolei Wang, Junfeng Li, Wenwu Wang, Chao Zhao, Tianchun Ye: Reduction of NiGe/n- and p-Ge Specific Contact Resistivity by Enhanced Dopant Segregation in the Presence of Carbon During Nickel Germanidation. IEEE Transactions on Electron Devices 09/2016; PP(99):1-4., DOI:10.1109/TED.2016.2610461

    20. J. Luo, J. Liu, E. Simoen, G. Wang, S. Mao, H. H. Radamson, N. Duan, J. Li, W. Wang, D. Chen, C. Zhao, T. Ye: (Invited) On the Manipulation of Phosphorus Diffusion as Well as the Reduction of Specific Contact Resistivity in Ge by Carbon Co-Doping. ECS Transactions 09/2016; 75(4):219-226., DOI:10.1149/07504.0219ecst

    21. G. Wang, J. Luo, C. Qin, H. Cui, J. Liu, K. Jia, J. Li, T. Yang, H. Yin, C. Zhao, T. Ye, P. Yang, G. Jayakumar, H. H. Radamson: Integration of Selective Epitaxial Growth of SiGe/Ge Layers in 14nm Node FinFETs. ECS Transactions 09/2016; 75(8):273-279., DOI:10.1149/07508.0273ecst

    22. Kunpeng Jia, Yajuan Su, Jun Zhan, Kashif Shahzad, Huilong Zhu, Chao Zhao, Jun Luo: Enhanced End-Contacts by Helium Ion Bombardment to Improve Graphene-Metal Contacts. Nanomaterials 08/2016; 6(9)., DOI:10.3390/nano6090158

    23. Changliang Qin, Guilei Wang, M. Kolahdouz, Jun Luo, Huaxing Yin, Ping Yang, Junfeng Li, Huilong Zhu, Zhao Chao, Tianchun Ye, Henry H. Radamson: Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 14nm node FinFETs. Solid-State Electronics 07/2016; 124., DOI:10.1016/j.sse.2016.07.024

    24. Eddy Simoen, Marc Schaekers, Jinbiao Liu, Jun Luo, Chao Zhao, Kathy Barla, Nadine Collaert: Defect engineering for shallow n‐type junctions in germanium: Facts and fiction. Physica Status Solidi (A) Applications and Materials 07/2016; 213(11)., DOI:10.1002/pssa.201600491

    25. Guilei Wang, Changliang Qin, Huaxiang Yin, Jun Luo, Ningyuan Duan, Ping Yang, Xingyu Gao, Tao Yang, Junfeng Li, Jiang Yan, Huilong Zhu, WenWu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao, Henry H. Radamson: Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14nm nodes FinFET technology. Microelectronic Engineering 06/2016; 163., DOI:10.1016/j.mee.2016.06.002

    26. Chaochao Fu, Xiangbiao Zhou, Yan Wang, Peng Xu, Ming Xu, Dongping Wu, Jun Luo, Chao Zhao, Shi-Li Zhang: Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing. Materials 04/2016; 9(5):315., DOI:10.3390/ma9050315

    27. Wen Fang, Anabela Veloso, Eddy simoen, M.-J. Cho, Nadine Collaert, Aaron Thean, Jun Luo, Chao Zhao, Tianchun Ye, Cor Claeys: Impact of the effective work function gate metal on the low-frequency noise of gate-all-around Silicon-on-Insulator NWFETs. IEEE Electron Device Letters 04/2016; 37(4):1-1., DOI:10.1109/LED.2016.2530849

    28. Kunpeng Jia, Yajuan Su, Jun Zhan, Kashif Shahzad, Huilong Zhu, Chao Zhao, Jun Luo: Nanomaterials. Nanomaterials 01/2016;

    29. J. B. Liu, J. Luo, E. Simoen, Y. X. Niu, F. Yang, G. L. Wang, W. W. Wang, D. P. Chen, J. F. Li, C. Zhao, T. C. Ye: Junction Control by Carbon and Phosphorus Co-Implantation in Pre-Amorphized Germanium. 01/2016; 5(6):P315-P319., DOI:10.1149/2.0091606jss

    30. Kunpeng Jia, Jun Luo, Rongyan Hu, Jun Zhan, Heshi Cao, Yajuan Su, Huilong Zhu, Ling Xie, Chao Zhao, Dapeng Chen, Tianchun Ye: Evaluation of PMMA Residues as a Function of Baking Temperature and a Graphene Heat-Free-Transfer Process to Reduce Them. 12/2015; 5(3):P138-P141., DOI:10.1149/2.0011603jss

    31. E. Simoen, A. Alian, H. Arimura, D. Lin, H. Mertens, J. Mitard, S. Sioncke, W. Fang, J. Luo, C. Zhao, A. Mocuta, N. Collaert, A. Thean, C. Claeys: (Invited) The Assessment of Border Traps in High-Mobility Channel Materials. ECS Transactions 10/2015; 69(5):205-217., DOI:10.1149/06905.0205ecst

    32. Y. Zhang, S. Wei, M. Li, C. Zhao, J. Luo, C. Huang: Simulation and optimization of solid-state nanopore for single-nanoparticle detection. DOI:10.3969/j.issn.1004-1699.2015.10.001

    33. Wenhui Chen, Jun Luo, Lingkuan Meng, Junjie Li, Jinjuan Xiang, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Chao Zhao: Atomic layer deposition assisted pattern transfer technology for ultra-thin block copolymer films. Thin Solid Films 10/2015;, DOI:10.1016/j.tsf.2015.10.032

    34. Hushan Cui, Jun Luo, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Zhaoyun Tang, Xiaolei Wang, Yihong Lu, Xiaobin He, Tingting Li, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao, Tianchun Ye: Investigation of TaN as the wet etch stop layer for HKMG-last integration in the 22 nm and beyond nodes CMOS technology. Vacuum 09/2015; 119., DOI:10.1016/j.vacuum.2015.05.021

    35. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Régis Carin, Jun Luo, Chao Zhao, Cor Claeys: Low-Frequency Noise Spectroscopy of Bulk and Border Traps in Nanoscale Devices. Solid State Phenomena 09/2015; 242., DOI:10.4028/www.scientific.net/SSP.242.449

    36. Yiluan Guo, Guilei Wang, Chao Zhao, Jun Luo: Simulation and characterization of stress in FinFETs using novel LKMC and nanobeam diffraction methods. Journal of Semiconductors 08/2015; 36(8)., DOI:10.1088/1674-4926/36/8/086001

    37. Eddy Simoen, W. Fang, M. Aoulaiche, J. Luo, C. Zhao, C. Claeys: Random Telegraph Noise: The key to single defect studies in nano-devices. Thin Solid Films 08/2015;, DOI:10.1016/j.tsf.2015.08.037

    38. Guilei Wang, M Moeen, A Abedin, Yefeng Xu, Jun Luo, Yiluan Guo, Changliang Qin, Zhaoyun Tang, Haizhou Yin, Junfeng Li, Jiang Yan, Huilong Zhu, Chao Zhao, Dapeng Chen, Tianchun Ye, M Kolahdouz, Henry H Radamson: Impact of pattern dependency of SiGe layers grown selectively in source/drain on the performance of 22 nm node pMOSFETs. Solid-State Electronics 07/2015; 114:43-48., DOI:10.1016/j.sse.2015.07.003

    39. Yu Zhang, Guiya Liu, MinQuan Li, Jun Luo, Chengjun Huang: Simulation analysis of nanopore performance in single-nanoparticle detection. DOI:10.1109/NEMS.2015.7147416

    40. Wen Fang, Eddy Simoen, Hiroaki Arimura, Jerome Mitard, Sonja Sioncke, Hans Mertens, Anda Mocuta, Nadine Collaert, Jun Luo, Chao Zhao, Aaron Voon-Yew Thean, Cor Claeys: Low-Frequency Noise Characterization of GeO x Passivated Germanium MOSFETs. IEEE Transactions on Electron Devices 07/2015; 62(7):2078-2083., DOI:10.1109/TED.2015.2430367

    41. Kunpeng Jia, Yajuan Su, Yang Chen, Jun Luo, Jie Yang, Peng Lv, Zihan Zhang, Huilong Zhu, Chao Zhao, Tianchun Ye: Effects of defects and thermal treatment on the properties of graphene. Vacuum 06/2015; 116., DOI:10.1016/j.vacuum.2015.03.003

    42. Qilong Bao, Tiankai Zhu, Ning Zhou, Shiping Guo, Jun Luo, Chao Zhao: Effect of hydrogen carrier gas on AlN and AlGaN growth in AMEC Prismo D-Blue? MOCVD platform. Journal of Crystal Growth 06/2015; 419., DOI:10.1016/j.jcrysgro.2015.02.084

    43. Xu Miao, Yin Huaxiang, Zhu Huilong, Ma Xiaolong, Xu Weijia, Zhang Yongkui, Zhao Zhiguo, Luo Jun, Yang Hong, Li Chunlong, Meng Lingkuan, Hong Peizhen, Xiang Jinjuan, Gao Jianfeng, Xu Qiang, Xiong Wenjuan, Wang Dahai, Li Junfeng, Zhao Chao, Chen Dapeng, Yang Simon, Ye Tianchun: Device parameter optimization for sub-20 nm node HK/MG-last bulk FinFETs. Journal of Semiconductors 04/2015; 36(4)., DOI:10.1088/1674-4926/36/4/044007

    44. Qiang Xu, Jun Luo, Guilei Wang, Tao Yang, Junfeng Li, Tianchun Ye, Dapeng Chen, Chao Zhao: Application of ALD W films as gate filling metal in 22nm HKMG-last integration: Evaluation and improvement of the adhesion in CMP process. Microelectronic Engineering 04/2015; 137(1)., DOI:10.1016/j.mee.2015.01.007

    45. Qingbo Liu, Guilei Wang, Ningyuan Duan, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Effects of Carbon Pre-Germanidation Implantation on the Thermal Stability of NiGe and Dopant Segregation on Both n-and p-Type Ge Substrate. 02/2015; 4(5):119-123., DOI:10.1149/2.0041505jss]

    46. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Distinction between silicon and oxide traps using single-trap spectroscopy. Physica Status Solidi (A) Applications and Materials 02/2015; 212(3)., DOI:10.1002/pssa.201400087

    47. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Effects of carbon pre-germanidation implant into Ge on the thermal stability of NiGe films. Microelectronic Engineering 02/2015; 133:6-10., DOI:10.1016/j.mee.2014.11.008

    48. Qingbo Liu, Guilei Wang, Yiluan Guo, Xingxing Ke, Hong Liu, Chao Zhao, Jun Luo: Optimization of a two-step Ni(5% Pt) germanosilicidation process and the redistribution of Pt in Ni(Pt)Si1?xGex germanosilicide. Vacuum 01/2015; 111., DOI:10.1016/j.vacuum.2014.10.007

    49. Yiluan Guo, Jun Luo, Guilei Wang, Xingxing Ke, Qingbo Liu, Chao Zhao: Systematic comparison between a new lattice kinetic Monte Carlo method and conventional polyhedron method for stress simulation in FinFETs. DOI:10.1109/ICSICT.2014.7021318

    50. Wen Fang, Eddy Simoen, Marc Aoulaiche, Jun Luo, Chao Zhao, Cor Claeys: Study of ΔID/ID of a single charge trap in utbox silicon films. DOI:10.1109/ICSICT.2014.7021493

    51. Eddy Simoen, Bogdan Cretu, Wen Fang, Marc Aoulaiche, Jean-Marc Routoure, Regis Carin, Sara dos Santos, Jun Luo, Chao Zhao, Joao Antonio Martino, Cor Claeys: Towards single-trap spectroscopy: Generation-recombination noise in UTBOX SOI nMOSFETs. Physica Status Solidi (C) Current Topics in Solid State Physics 01/2015; 12(3):n/a-n/a., DOI:10.1002/pssc.201400075

    52. Qingbo Liu, Wang Geilei, Yiluan Guo, Xingxing Ke, Henry Radamson, Hong Liu, Chao Zhao, Jun Luo: Improvement of the Thermal Stability of Nickel Stanogermanide by Carbon Pre-Stanogermanidation Implant into GeSn Substrate. 01/2015; 4(3):67-70., DOI:10.1149/2.0041503jss]

    53. Guilei Wang, Ahmad Abedin, Mahdi Moeen, Mohammadreza Kolahdouz, Jun Luo, Yiluan Guo, Tao Chen, Huaxiang Yin, Huilong Zhu, Junfeng Li, Chao Zhao, Henry H Radamson: Integration of highly-strained SiGe materials in 14 nm and beyond nodes FinFET technology. Solid-State Electronics 12/2014; 103., DOI:10.1016/j.sse.2014.07.008

    54. Xing Wei, Jian Zhong, Jun Luo, Hao Wu, Huilong Zhu, Chao Zhao, Haizhou Yin: FinFETs using reverse substrate layer with improved gate capacitance characteristics for subthreshold application. Solid-State Electronics 11/2014; 104., DOI:10.1016/j.sse.2014.10.011

    55. Wenhui Chen, Jun Luo, Peixiong Shi, Chunlong Li, Xiaobin He, Peizhen Hong, Junfeng Li, Chao Zhao: Self-assembling Morphologies of Symmetric PS-b-PMMA in Different Sized Confining Grooves. RSC Advances 09/2014; 4(92)., DOI:10.1039/C4RA09573A

    56. LingKuan Meng, Chunlong Li, Xiaobin He, Jun Luo, Jiang Yan, Junfeng Li, Chao Zhao: Innovatively composite hard mask to feature sub-30nm gate patterning. Microelectronic Engineering 09/2014; 127., DOI:10.1016/j.mee.2014.02.010

    57. Lichuan Zhao, Zhaoyun Tang, Bo Tang, Xueli Ma, Jinbiao Liu, Jinjuan Xiang, Jianfeng Gao, Chunlong Li, Xiaobin He, Cheng Jia, Mingzheng Ding, Hong Yang, Yefeng Xu, Jing Xu, Hongli Wang, Peng Liu, Peizhen Hong, Lingkuan Meng, Tingting Li, Wenjuan Xiong, Hao Wu, Junjie Li, Guilei Wang, Tao Yang, Hushan Cui, Yihong Lu, Xiaodong Tong, Jun Luo, Jian Zhong, Qiang Xu, Wenwu Wang, Junfeng Li, Huilong Zhu, Chao Zhao, Jiang Yan, Dapeng Chen, Simon Yang, Tianchun Ye: Mitigation of reverse short-channel effect with multilayer TiN/Ti/TiN metal gates in gate last PMOSFETs. IEEE Electron Device Letters 08/2014; 35(8):811-813., DOI:10.1109/LED.2014.2331356

    58. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael ?stling, Tianchun Ye, Shi-Li Zhang: Effects of carbon pre-silicidation implant into Si substrate on NiSi. Microelectronic Engineering 05/2014; 120:178–181., DOI:10.1016/j.mee.2013.08.010

    59. Jun Luo, Zhi-Jun Qiu, Jian Deng, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Dongping Wu, Mikael ?stling, Tianchun Ye, Shi-Li Zhang: Variation of Schottky barrier height induced by dopant segregation monitored by contact resistivity measurements. Microelectronic Engineering 05/2014; 120:174–177., DOI:10.1016/j.mee.2013.09.003

    60. C. K. Li, Wen Fang, Eddy Simoen, Marc Aoulaiche, Y. R. Wu, Jun Luo, Chao Zhao, Cor Claeys: Silicon-film-related random telegraph noise in UTBOX silicon-on-insulator nMOSFETs. ECS Transactions 03/2014; 60(1):109-114., DOI:10.1149/06001.0109ecst

    61. Xiaodong Tong, Jun Luo, Hao Wu, Qingqing Liang, Huicai Zhong, Huilong Zhu, Chao Zhao: Two-terminal vertical memory cell for cross-point static random access memory applications. Journal of vacuum science & technology B 03/2014; 32(2):021205-021205-7., DOI:10.1116/1.4865572

    62. Qilong Bao, Jun Luo, Chao Zhao: Mechanism of TMAl pre-seeding in AlN epitaxy on Si (111) substrate. Vacuum 03/2014; 101:184–188., DOI:10.1016/j.vacuum.2013.08.015

    63. Jian Deng, Qingbo Liu, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Jun Luo: A modified scheme to tune the Schottky Barrier Height of NiSi by means of dopant segregation technique. Vacuum 01/2014; 99:225-227., DOI:10.1016/j.vacuum.2013.05.024

    64. Q. Liu, Y. Guo, X. Ke, G. Wang, C. Zhao, H. Liu, J. Luo: Effect of Pt redistribution on Ni(Pt)Si1-xGex germanosilicide. ECS Transactions 01/2014; 60(1):139-144., DOI:10.1149/06001.0139ecst

    65. G L Wang, M Moeen, A Abedin, M Kolahdouz, J Luo, C L Qin, H L Zhu, J Yan, H Z Yin, J F Li, C Zhao, H H Radamson: Optimization of SiGe selective epitaxy for source/drain engineering in 22nm node complementary metal-oxide semiconductor (CMOS). Journal of Applied Physics 09/2013; 114(123511)., DOI:10.1063/1.4821238

    66. Peng Xu, Chaochao Fu, Cheng Hu, David Wei Zhang, Dongping Wu, Jun Luo, Chao Zhao, Zhi-Bin Zhang, Shi-Li Zhang: Ultra-shallow junctions formed using microwave annealing. Applied Physics Letters 03/2013; 102(12)., DOI:10.1063/1.4799030

    67. Shu J. Mao, Li C. Zhao, Jun. Luo, Jiang. Yan: A New Solution to the Ni-fill issue for Silicide-last Process. MRS Online Proceeding Library Archive 01/2013; 1559., DOI:10.1557/opl.2013.871

    68. Yi Song, Jun Luo, Xiuling Li: Vertically stacked individually tunable nanowire field effect transistors for low power operation with ultrahigh radio frequency linearity. Applied Physics Letters 08/2012; 101(9)., DOI:10.1063/1.4747448

    69. Yinghua Piao, Zhiwei Zhu, Xindong Gao, Aliaksandra Karabko, Cheng Hu, Zhijun Qiu, Jun Luo, Zhi-Bin Zhang, Shi-Li Zhang, Dongping Wu: Extensive Raman spectroscopic investigation of ultrathin Co1-xNixSi2 films grown on Si(100). Journal of Vacuum Science & Technology A Vacuum Surfaces and Films 07/2012; 30(4):041511-041511-8., DOI:10.1116/1.4726295

    70. Yi Song, Qiuxia Xu, Jun Luo, Huajie Zhou, Jiebin Niu, Qingqing Liang, Chao Zhao: Performance Breakthrough in Gate-All-Around Nanowire n- and p-Type MOSFETs Fabricated on Bulk Silicon Substrate. IEEE Transactions on Electron Devices 07/2012; 59(7):1885-1890., DOI:10.1109/TED.2012.2194785

    71. Jun Luo, Xindong Gao, Zhi-Jun Qiu, Jun Lu, Dongping Wu, Chao Zhao, Junfeng Li, Dapeng Chen, Lars Hultman, Shi-Li Zhang: Thermal Stability and Dopant Segregation for Schottky Diodes With Ultrathin Epitaxial NiSi2-y. IEEE Electron Device Letters 09/2011; 32(8-32):1029 - 1031., DOI:10.1109/LED.2011.2157301

    72. Jun Luo, Dongping Wu, Zhijun Qiu, Jun Lu, Lars Hultman, Mikael Ostling, Shi-Li Zhang: On Different Process Schemes for MOSFETs With a Controllable NiSi-Based Metallic Source/Drain. IEEE Transactions on Electron Devices 08/2011; 58(7-58):1898 - 1906., DOI:10.1109/TED.2011.2145381

    73. Yi Song, Huajie Zhou, Qiuxia Xu, Jun Luo, Haizhou Yin, Jiang Yan, Huicai Zhong: Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status. Journal of Electronic Materials 07/2011; 40(7):1584-1612., DOI:10.1007/s11664-011-1623-z

    74. Yi Song, Huajie Zhou, Qiuxia Xu, Jun Luo, Chao Zhao, Qingqing Liang: High performance N- and P-type gate-all-around nanowire MOSFETs fabricated on bulk Si by CMOS-compatible process. 06/2011;, DOI:10.1109/DRC.2011.5994423

    75. Mikael Ostling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstrom, B. Gunnar Malm: Technology challenges in silicon devices beyond the 16 nm node.

    76. Mikael ?stling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstr?m, B. Gunnar Malm: Integration of metallic source/drain (MSD) contacts in nanoscaled CMOS technology. DOI:10.1109/ICSICT.2010.5667860

    77. Jun Lu, Jun Luo, Shi-Li Zhang, Mikael Ostling, Lars Hultman: On Epitaxy of Ultrathin Ni1-xPtx Silicide Films on Si(001). Electrochemical and Solid-State Letters 10/2010; 13(10-10):H360-H362., DOI:10.1149/1.3473723

    78. Jun Luo, Zhijun Qiu, Chaolin Zha, Zhen Zhang, Dongping Wu, Jun Lu, Johan Akerman, Mikael Ostling, Lars Hultman, Shi-Li Zhang: Surface-energy triggered phase formation and epitaxy in nanometer-thick Ni1-xPtx silicide films. Applied Physics Letters 01/2010; 96(3-3)., DOI:10.1063/1.3291679

    79. Jun Luo: Integration of metallic source/drain contacts in MOSFET technology.

    80. Mikael ?stling, Jun Luo, Valur Gudmundsson, Per-Erik Hellstr?m, B. Gunnar Malm: Nanoscaling of MOSFETs and the implementation of Schottky barrier S/D contacts. DOI:10.1109/MIEL.2010.5490540

    81. Jun Luo, Zhi-Jun Qiu, Zhen Zhang, Mikael Ostling, Shi-Li Zhang: Interaction of NiSi with dopants for metallic source/drain applications. Journal of vacuum science & technology B 01/2010; 28(1-1):C1I1-C1I11., DOI:10.1116/1.3248267

    82. Jie Tian, Wei Yan, Yazhao Liu, Jun Luo, Daozhong Zhang, Zhiyuan Li, Min Qiu: Optical Quality Improvement of Si Photonic Devices Fabricated by Focused-Ion-Beam Milling. Journal of Lightwave Technology 11/2009; 27(19-27):4306 - 4310., DOI:10.1109/JLT.2009.2023607

    83. Jun Luo, Zhi-Jun Qiu, David Wei Zhang, P.-E. Hellstrom, Mikael Ostling, Shi-Li Zhang: Effects of Carbon on Schottky Barrier Heights of NiSi Modified by Dopant Segregation. IEEE Electron Device Letters 07/2009; 30(6-30):608 - 610., DOI:10.1109/LED.2009.2018285

    84. Valur Gudmundsson, P.-E. Hellstrom, Jun Luo, Jun Lu, Shi-Li Zhang, M. Ostling: Fully Depleted UTB and Trigate N-Channel MOSFETs Featuring Low-Temperature PtSi Schottky-Barrier Contacts With Dopant Segregation. IEEE Electron Device Letters 06/2009; 30(5-30):541 - 543., DOI:10.1109/LED.2009.2015900

    85. Zhao Xian Xiong, X. Xue, Hong Qiu, C. Zhang, C. Fang, J. Luo, D. Y. Bao, W. Liu, F. Xiao, Chang Jian Lin, B. Q. You, Zhen Xing Yue: Microwave Dielectric Ceramics and Devices for Wireless Technologies. Key Engineering Materials 01/2008; 368-372:154-158., DOI:10.4028/www.scientific.net/KEM.368-372.154

    86. S.S. Cheng, J. Luo, Z.X. Xiong: Dielectric properties of Ba(Mg0.2/3Zn0.8/3Nb 2/3)O3 and Ba1-xSrx(Mg 0.2/3Zn0.8/3Nb2/3)O3 microwave ceramics. Key Engineering Materials 01/2007; 336:272-274.

    87. S. S. Cheng, J. Luo, Zhao Xian Xiong: Dielectric Properties of Ba(Mg 0.2/3 Zn 0.8/3 Nb 2/3 )O 3 and Ba 1-x Sr x (Mg 0.2/3 Zn 0.8/3 Nb 2/3 )O 3 Microwave Ceramics. DOI:10.4028/www.scientific.net/KEM.336-338.272

    88. J. Luo, Z. Y. Pang, Y. S. Lin, Zhao Xian Xiong: Influences of MnCO 3 Doping on Processing Parameters and Dielectric Properties of ZnNb 2 O 6 Microwave Ceramics. Key Engineering Materials 01/2005; 280-283:23-26., DOI:10.4028/www.scientific.net/KEM.280-283.23

    Conference Proceedings

    1. Jun Luo, Shujuan Mao, Jing Xu, Guilei Wang, Dan Zhang, Xue Luo, Ningyuan Duan, Shi Liu, Wenwu Wang, Dapeng Chen, Junfeng Li, Chao Zhao, Tianchun Ye: On the manifestation of Ge pre-amorphization implantation (PAI) impact on both the formation of ultrathin TiSix and the specific contact resistivity in TiSix/n-Si contacts for sub-16/14 nm nodes and beyond. 2018 18th International Workshop on Junction Technology (IWJT); 03/2018, DOI:10.1109/IWJT.2018.8330297

    2. Huilong Zhu, Jun Luo, Qingzhu Zhang, Huaxiang Yin, Huicai Zhong, Chao Zhao: FinFETs on insulator with silicided source/drain. 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S); 10/2017, DOI:10.1109/S3S.2017.8309228

    3. Liang He, Eddy Simoen, Cor Claeys, Guilei Wang, Jun Luo, Chao Zhao, Junfeng Li, Hua Chen, Yin Hu, Xiaoting Qin: Low frequency noise characterization of 22nm PMOS featuring with filling W gate using different precursors. 2017 China Semiconductor Technology International Conference (CSTIC); 03/2017, DOI:10.1109/CSTIC.2017.7919856

    4. Qingzhu Zhang, Huaxiang Yin, Jun Luo, Hong Yang, Lingkuan Meng, Yudong Li, Zhenhua Wu, Yanbo Zhang, Yongkui Zhang, Changliang Qin, Junjie Li, Jianfeng Gao, Guilei Wang, Wenjuan Xiong, Jinjuan Xiang, Zhangyu Zhou, Shujian Mao, Gaobo Xu, Jinbiao Liu, Yang Qu, Tao Yang, Junfeng Li, Qiuxia Xu, Jiang Yan, Huilong Zhu, Chao Zhao, Tianchun Ye: FOI FinFET with Ultra-low Parasitic Resistance Enabled by Fully Metallic Source and Drain Formation on Isolated Bulk-Fin. 2016 IEEE International Electron Devices Meeting (IEDM); 09/2016, DOI:10.1109/IEDM.2016.7838438

    5. Yunfei Dai, Peixian Li, Li Li, Jun Luo, Chengjun Huang: Numerical simulation of dean flow in spiral microchannels for cancer cell sorting. 2016 IEEE International Nanoelectronics Conference (INEC); 05/2016, DOI:10.1109/INEC.2016.7589400

    6. E. Simoen, C. Claeys, W. Fang, J. Luo, C. Zhao: Implications of inelastic tunneling on the depth of oxide traps in MOSFETs assessed by RTS or BTI. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015, DOI:10.1109/ICNF.2015.7288543

    7. W. Fang, J. Luo, C. Zhao, E. Simoen, H. Arimura, J. Mitard, A. Thean, C. Claeys: Low-frequency noise study of Ge p-MOSFETs with HfO2/Al2O3/GeOx gate stack. 2015 International Conference on Noise and Fluctuations (ICNF); 06/2015, DOI:10.1109/ICNF.2015.7288550

    8. Yu Zhang, Jun Luo, Yangsong Liu, Minquan Li, Chao Zhao, Chengjun Huang: Electrical detection of single particle in cylindrical solid-state nanopores. Electron Devices and Solid-State Circuits (EDSSC), 2015 IEEE International Conference on; 06/2015, DOI:10.1109/EDSSC.2015.7285122

    9. Yiluan Guo, Jun Luo, Guilei Wang, Xingxing Ke, Qingbo Liu, Chao Zhao: Systematic comparison between a new lattice kinetic Monte Carlo method and conventional polyhedron method for stress simulation in FinFETs. Solid-State and Integrated Circuit Technology (ICSICT), 2014 12th IEEE International Conference; 11/2014

    10. JB Liu, J Luo, JF Li, C Chen, Guilei Wang, T.   Chen, T.T.   Li, J.   Zhong, D.P. Wu, P.   Xu, C. Zhao: Co-implantation with microwave annealing for phosphorous shallow-junction formation in Germanium. Ion Implantation Technology (IIT), 2014 20th International Conference on; 06/2014, DOI:10.1109/IIT.2014.6939956

    11. Guilei Wang, Qiang Xu, Tao Yang, Jun Luo, Jinjuan Xiang, Jing Xu, Gaobo Xu, Chunlong Li, Junfeng Li, Jiang Yan, Chao Zhao, Dapeng Chen, Tianchun Ye: Application of Atomic Layer Deposition Tungsten (ALD W) as Gate Filling Metal for 22 nm and Beyond Nodes CMOS Technology. 224th ECS Meeting; 11/2013, DOI:10.1149/05810.0317ecst

    12. Hushan Cui, Jing Xu, Jianfeng Gao, Jinjuan Xiang, Yihong Lu, Zhaoyun Tang, Xiaobin He, Tingting Li, Jun Luo, Xiaolei Wang, Bo Tang, Jiahan Yu, Tao Yang, Jiang Yan, Junfeng Li, Chao Zhao: Evaluation of TaN as the wet etch stop layer during the 22nm HKMG gate last CMOS integrations. 224th ECS Meeting; 10/2013, DOI:10.1149/05806.0111ecst

    13. Jian Deng, Jun Luo, Chao Zhao, Junfeng Li, Wenwu Wang, Dapeng Chen, Tianchun Ye, Hanming Wu: A more CMOS process compatible scheme to tune the Schottky Barrier Height of NiSi to electrons by means of dopant segregation (DS) technique. Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on; 10/2012, DOI:10.1109/ICSICT.2012.6467834

    14. Shu-Juan Mao, Jun Luo, Jiang Yan: Gap fill capability of Ni PVD based on silicide-last process. Solid-State and Integrated Circuit Technology (ICSICT), 2012 IEEE 11th International Conference on; 10/2012, DOI:10.1109/ICSICT.2012.6467733

    专利申请:

  • 国内外专利申请101项,其中国内专利申请83项,国际专利申请18项

    国内专利申请(部分):

    1. 罗军,赵超,半导体器件及其制造方法,申请号:201010553050.4

    2. 罗军,赵超,半导体器件及其制造方法,申请号:201010571659.4

    3. 罗军,赵超,半导体器件及其制造方法,申请号:201010576904.0

    4. 罗军,赵超,半导体器件及其制造方法,申请号:201010577852.9

    5. 罗军,赵超,钟汇才,自对准金属硅化物的形成方法,申请号:201010599252.2

    6. 罗军,赵超,钟汇才,MOS晶体管及其制作方法,申请号:201010612589.2

    7. 罗军,赵超,半导体器件及其制造方法,申请号:201110006429.8

    8. 罗军,赵超,半导体器件及其制造方法,申请号:201110020536.6

    9. 罗军,赵超,半导体器件及其制造方法,申请号:201110021062.7

    10. 罗军,赵超,钟汇才,李俊峰,改进MOSFETs镍基硅化物热稳定性的方法,申请号: 201110074395.6

    11. 罗军,赵超,钟汇才,李俊峰,热稳定性镍基硅化物源漏MOSFETs及其制造方法,申请号:201110074604.7

    12. 罗军,赵超,钟汇才,李俊峰,半导体器件及其制造方法,申请号:201110104362.1

    13. 罗军,赵超,钟汇才,李俊峰,半导体器件及其制造方法,申请号:201110159506.3

    14. 罗军,赵超,钟汇才,李俊峰,纳米线制造方法,申请号:201110159421.5

    15. 罗军,赵超,李俊峰,多栅晶体管及其制造方法,申请号:201110199673.0

    16. 罗军,赵超,钟汇才,李俊峰,半导体器件及其制造方法,申请号:201110228166.5

    17. 罗军,赵超,半导体器件及其制造方法,申请号:201110234503.1

    18. 罗军,赵超,半导体器件及其制造方法,申请号:201110234502.7

    19. 罗军,赵超,低源漏接触电阻MOSFETs及其制造方法,申请号:201110263766.5

    20. 罗军,赵超,低源漏接触电阻MOSFETs及其制造方法,申请号:201110264987.4

    21. 罗军,赵超,半导体器件制造方法,申请号:201110347563.4

    22. 罗军,赵超,钟汇才,李俊峰,陈大鹏,具有提升硅化物源漏接触的MOSFETs及其制造方法,申请号:201110377995.x

    23. 罗军,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201110391447.2

    24. 罗军,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201110419334.9

    25. 罗军,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201110425474.7

    26. 罗军,邓坚,赵超,钟汇才,李俊峰,陈大鹏,金属硅化物制造方法,申请号:201210118972.1

    27. 罗军,邓坚,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201210134103.8

    28. 罗军,邓坚,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201210135041.2

    29. 罗军,邓坚,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201210133853.3

    30. 罗军,邓坚,赵超,钟汇才,李俊峰,陈大鹏,半导体器件制造方法,申请号:201210147554.5

    31. 尹海洲,罗军,朱慧珑,骆志炯,一种半导体结构及其制造方法,申请号:201010572608.3

    32. 尹海洲,罗军,骆志炯,朱慧珑,一种半导体结构及其制造方法,申请号:201010572616.8

    33. 朱慧珑,李春龙,罗军,半导体器件的形成方法,申请号:201010617419.3

    34. 赵超,罗军,陈大鹏,叶甜春,具有高击穿电压的HEMT及其制造方法,申请号:201110116103.0

    35. 赵超,罗军,钟汇才,王文武,金属源漏SOI MOS晶体管及其形成方法,申请号:201110161231.7

    36. 尹海洲,罗军, 朱慧珑,骆志炯,一种晶体管及其制作方法和包括该晶体管的半导体芯片,申请号:201110188060.7

    37. 钟汇才,罗军,梁擎擎,朱慧珑半导体器件结构及其制作方法、及半导体鳍制作方法,申请号:201110198177.3

    38. 钟汇才,罗军,赵超,梁擎擎,半导体器件的制造方法,申请号:201110236626

    39. 殷华湘,罗军,赵超,刘洪刚,陈大鹏,半导体器件及其制造方法,申请号:201110339415.8

    40. 朱慧珑,李春龙,罗军,钟汇才,梁擎擎,叶甜春,半导体器件及其制造方法,申请号:201210065168.1

    41. 梁擎擎,罗军,钟汇才,赵超,朱慧珑,半导体器件及其制造方法,申请号:201110329579.2

    42. 赵超,罗军,钟汇才,王文武,金属源漏SOI MOSFETs及其制造方法,申请号:201110161231.7

    43. 包琦龙,罗军,赵超,高电子迁移率晶体管及其制造方法,申请号:201210343035.6

    44. 包琦龙,罗军,赵超,高电子迁移率晶体管及其制造方法,申请号:201210343034.1

    国际专利申请:

    1. 罗军,赵超,半导体器件及其制造方法,PCT/CN2011/07782

    2. 罗军,赵超,自对准金属硅化物的形成方法,PCT/CN2011/070698

    3. 罗军,赵超,半导体器件及其制造方法,PCT/CN2011/071356

    4. 罗军,赵超,半导体器件及其制造方法,PCT/CN2011/000711

    5. 罗军,赵超,半导体器件及其制造方法,PCT/CN2011/000712

    6. 罗军,赵超,半导体器件及其制造方法,PCT/CN2012/072984

    7. 罗军,赵超,半导体器件及其制造方法,PCT/CN2012/072985

    8. 罗军,赵超,半导体器件及其制造方法,PCT/CN2012/000780

    9. 殷华湘,赵超,罗军,陈大鹏,半导体器件的制作方法,PCT/CN2011/001965

    10. 朱慧珑,李春龙,罗军,半导体器件及其制造方法,PCT/CN2011/071488

    11. 尹海洲,罗军,朱慧珑,骆志炯,一种半导体结构及其制造方法,PCT/CN2011/071350

    12. 尹海洲,罗军,骆志炯,朱慧珑,一种半导体结构及其制造方法,PCT/CN2011/072917

    13. 尹海洲,罗军,骆志炯,朱慧珑,一种半导体结构及其制造方法,PCT/CN2011/001309

    14. 钟汇才,罗军,赵超,朱慧珑,梁擎擎,半导体器件结构及其制作方法,PCT/CN2011/079040

    15. 钟汇才,罗军,赵超,朱慧珑,梁擎擎,半导体器件结构及其制作方法及半导体鳍制作方法,PCT/CN2011/082420

    16. 钟汇才,罗军,赵超,朱慧珑,梁擎擎,半导体器件的制作方法,PCT/CN2011/083471

    17. 赵超,罗军,半导体场效应晶体管及其制作方法,PCT/CN2012/000377

    18.朱 慧珑,李春龙,罗军,一种半导体器件的形成方法,PCT/CN2011/071488

    获奖及荣誉:

  • 2017年国家知识产权局“中国专利优秀奖”

    2017年中科院“朱李月华优秀教师”奖